Leveraging speculative architectures for runtime program validation

Program execution can be tampered with by malicious attackers through exploiting software vulnerabilities. Changing the program behavior by compromising control data and decision data has become the most serious threat in computer system security. Although several hardware approaches have been prese...

Full description

Autores:
Tipo de recurso:
Fecha de publicación:
2013
Institución:
Universidad Tecnológica de Bolívar
Repositorio:
Repositorio Institucional UTB
Idioma:
eng
OAI Identifier:
oai:repositorio.utb.edu.co:20.500.12585/9074
Acceso en línea:
https://hdl.handle.net/20.500.12585/9074
Palabra clave:
Control flow validation
Program validation
Security attacks
Branch target buffers
Computer system security
Control flows
Hardware-based approach
Performance penalties
Program validation
Security attacks
Software vulnerabilities
Digital storage
Hardware
Rights
restrictedAccess
License
http://creativecommons.org/licenses/by-nc-nd/4.0/