Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.

ilustraciones, diagramas, fotografías

Autores:
Aponte Moreno, John Alexander
Tipo de recurso:
Doctoral thesis
Fecha de publicación:
2023
Institución:
Universidad Nacional de Colombia
Repositorio:
Universidad Nacional de Colombia
Idioma:
eng
OAI Identifier:
oai:repositorio.unal.edu.co:unal/85008
Acceso en línea:
https://repositorio.unal.edu.co/handle/unal/85008
https://repositorio.unal.edu.co/
Palabra clave:
000 - Ciencias de la computación, información y obras generales::003 - Sistemas
620 - Ingeniería y operaciones afines::629 - Otras ramas de la ingeniería
Radiation
Radiación
Métodos orientados a objetos (computadores)
Object-oriented methods (computer)
Diagnosis computer assisted
Diagnóstico por computación
Fault Tolerance
Approximate Computing
Reliability
Soft Errors
Tolerancia a fallos
Computación aproximada
Confiabilidad
Rights
openAccess
License
Atribución-NoComercial-SinDerivadas 4.0 Internacional
id UNACIONAL2_767cbe4916e78319e025b3a29bb5a3e8
oai_identifier_str oai:repositorio.unal.edu.co:unal/85008
network_acronym_str UNACIONAL2
network_name_str Universidad Nacional de Colombia
repository_id_str
dc.title.none.fl_str_mv Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
dc.title.translated.none.fl_str_mv Diseño de sistemas embebidos tolerantes a fallos usando técnicas de computación aproximada.
title Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
spellingShingle Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
000 - Ciencias de la computación, información y obras generales::003 - Sistemas
620 - Ingeniería y operaciones afines::629 - Otras ramas de la ingeniería
Radiation
Radiación
Métodos orientados a objetos (computadores)
Object-oriented methods (computer)
Diagnosis computer assisted
Diagnóstico por computación
Fault Tolerance
Approximate Computing
Reliability
Soft Errors
Tolerancia a fallos
Computación aproximada
Confiabilidad
title_short Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
title_full Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
title_fullStr Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
title_full_unstemmed Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
title_sort Design of Fault Tolerant Embedded Systems using Approximate Computing Techniques.
dc.creator.fl_str_mv Aponte Moreno, John Alexander
dc.contributor.advisor.none.fl_str_mv Restrepo Calle, Felipe
Pedraza Bonilla, Cesar Augusto
dc.contributor.author.none.fl_str_mv Aponte Moreno, John Alexander
dc.contributor.researchgroup.spa.fl_str_mv Plas Programming languages And Systems
dc.contributor.orcid.spa.fl_str_mv Aponte Moreno, Alexander[0000-0002-4569-0733]
dc.contributor.cvlac.spa.fl_str_mv Aponte Moreno, Alexander[0001095072]
dc.contributor.scopus.spa.fl_str_mv Aponte Moreno, Alexander[57203206767]
dc.contributor.googlescholar.spa.fl_str_mv Aponte Moreno, Alexander[9kAWQNMAAAAJ]
dc.subject.ddc.spa.fl_str_mv 000 - Ciencias de la computación, información y obras generales::003 - Sistemas
620 - Ingeniería y operaciones afines::629 - Otras ramas de la ingeniería
topic 000 - Ciencias de la computación, información y obras generales::003 - Sistemas
620 - Ingeniería y operaciones afines::629 - Otras ramas de la ingeniería
Radiation
Radiación
Métodos orientados a objetos (computadores)
Object-oriented methods (computer)
Diagnosis computer assisted
Diagnóstico por computación
Fault Tolerance
Approximate Computing
Reliability
Soft Errors
Tolerancia a fallos
Computación aproximada
Confiabilidad
dc.subject.decs.eng.fl_str_mv Radiation
dc.subject.decs.spa.fl_str_mv Radiación
dc.subject.lemb.eng.fl_str_mv Métodos orientados a objetos (computadores)
Object-oriented methods (computer)
Diagnosis computer assisted
dc.subject.lemb.spa.fl_str_mv Diagnóstico por computación
dc.subject.proposal.eng.fl_str_mv Fault Tolerance
Approximate Computing
Reliability
Soft Errors
dc.subject.proposal.spa.fl_str_mv Tolerancia a fallos
Computación aproximada
Confiabilidad
description ilustraciones, diagramas, fotografías
publishDate 2023
dc.date.accessioned.none.fl_str_mv 2023-11-28T16:42:24Z
dc.date.available.none.fl_str_mv 2023-11-28T16:42:24Z
dc.date.issued.none.fl_str_mv 2023-11-08
dc.type.spa.fl_str_mv Trabajo de grado - Doctorado
dc.type.driver.spa.fl_str_mv info:eu-repo/semantics/doctoralThesis
dc.type.version.spa.fl_str_mv info:eu-repo/semantics/acceptedVersion
dc.type.coar.spa.fl_str_mv http://purl.org/coar/resource_type/c_db06
dc.type.content.spa.fl_str_mv Text
dc.type.redcol.spa.fl_str_mv http://purl.org/redcol/resource_type/TD
format http://purl.org/coar/resource_type/c_db06
status_str acceptedVersion
dc.identifier.uri.none.fl_str_mv https://repositorio.unal.edu.co/handle/unal/85008
dc.identifier.instname.spa.fl_str_mv Universidad Nacional de Colombia
dc.identifier.reponame.spa.fl_str_mv Repositorio Institucional Universidad Nacional de Colombia
dc.identifier.repourl.spa.fl_str_mv https://repositorio.unal.edu.co/
url https://repositorio.unal.edu.co/handle/unal/85008
https://repositorio.unal.edu.co/
identifier_str_mv Universidad Nacional de Colombia
Repositorio Institucional Universidad Nacional de Colombia
dc.language.iso.spa.fl_str_mv eng
language eng
dc.relation.references.spa.fl_str_mv Aamodt, T. M. and Chow, P. (2008). Compile-time and instruction-set methods for improving floating-to fixed-point conversion accuracy. ACM Transactions on Embedded Computing Systems, 7(3):1–27.
AEC (2014). FAILURE MECHANISM BASED STRESS TEST QUALIFICATION FOR INTEGRATED CIRCUITS Automotive Electronics Council Rev-H.
Agarwal, A., Rinard, M., Sidiroglou, S., Misailovic, S., and Hoffmann, H. (2009). Using Code Perforation to Improve Performance, Reduce Energy Consumption, and Respond to Failures. Technical report, MIT.
Alaghi, A. and Hayes, J. P. (2015). STRAUSS: Spectral Transform Use in Stochastic Circuit Synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(11):1770–1783.
Aponte-Moreno, A., Isaza-Gonzalez, J., Serrano-Cases, A., Martinez-Alvarez, A., Cuenca-Asensi, S., and Restrepo-Calle, F. (2020). An experimental comparison of fault injection tools for microprocessor-based systems. In 21st IEEE Latin-American Test Symposium, LATS 2020.
Aponte-Moreno, A., Isaza-González, J., Serrano-Cases, A., Martínez-Álvarez, A., Cuenca-Asensi, S., and Restrepo-Calle, F. (2023). Evaluation of fault injection tools for reliability estimation of microprocessor-based embedded systems. Microprocessors and Microsystems, 96:104723.
Aponte-Moreno, A., Moncada, A., Restrepo-Calle, F., and Pedraza, C. (2018). A review of approximate computing techniques towards fault mitigation in HW/SW systems. In 2018 IEEE 19th Latin- American Test Symposium (LATS), pages 1–6. IEEE.
Aponte-Moreno, A., Pedraza, C., and Restrepo-Calle, F. (2019a). Reducing overheads in software-based fault tolerant systems using approximate computing. In LATS 2019 - 20th IEEE Latin American Test Symposium.
Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2019b). A Low-Overhead Radiation Hardening Approach using Approximate Computing and Selective Fault Tolerance Techniques at the Software Level. In 2019 19th European Conference on Radiation and Its Effects on Components and Systems (RADECS), pages 1–4. IEEE.
Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2019c). MiFIT: A fault injection tool to validate the reliability of microprocessors. In LATS 2019 - 20th IEEE Latin American Test Symposium.
Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2019d). Using approximate computing and selective hardening for the reduction of overheads in the design of radiation-induced fault-tolerant systems. Electronics (Switzerland), 8.
Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2021a). A Low-cost Fault Tolerance Method for ARM and RISC-V Microprocessor-based Systems using Temporal Redundancy and Approximate Computing through Simplified Iterations. Journal of Integrated Circuits and Systems, 16(3):1–14.
Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2021b). Reliability Evaluation of RISC-V and ARM Microprocessors Through a New Fault Injection Tool. In 2021 IEEE 22nd Latin American Test Symposium (LATS), pages 1–6. IEEE.
Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. A. (2021c). FTxAC: Leveraging the Approximate Computing Paradigm in the Design of Fault-Tolerant Embedded Systems to Reduce Overheads. IEEE Transactions on Emerging Topics in Computing, 9(2):797–810.
Arifeen, T., Hassan, A. S., Moradian, H., and Lee, J. A. (2016). Probing Approximate TMR in Error Resilient Applications for Better Design Tradeoffs. In Proceedings - 19th Euromicro Conference on Digital System Design, DSD 2016, pages 637–640.
ARM (2023). Arm ref. manual.
Augustin, M., Gossel, M., and Kraemer, R. (2011). Implementation of Selective Fault Tolerance with conventional synthesis tools. In 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, pages 213–218. IEEE.
Avizienis, A. (1985). The N-Version Approach to Fault-Tolerant Software. IEEE Transactions on Software Engineering, SE-11(12):1491–1501.
Azambuja, J. R., Lapolli, Â., Rosa, L., and Kastensmidt, F. L. (2011a). Detecting SEEs in microprocessors through a non-intrusive hybrid technique. IEEE Transactions on Nuclear Science, 58(3 PART 2):993–1000.
Azambuja, J. R., Pagliarini, S., Rosa, L., and Kastensmidt, F. L. (2011b). Exploring the Limitations of Software-based Techniques in SEE Fault Coverage. Journal of Electronic Testing, 27(4):541–550.
Baek, W. and Chilimbi, T. M. (2010). Green: A Framework for Supporting Energy-Conscious Programming using Controlled Approximation. ACM SIGPLAN Notices, 45(6):198–209.
Baharvand, F. and Miremadi, S. G. (2020). Lexact: Low energy n-modular redundancy using approximate computing for real-time multicore processors. IEEE Transactions on Emerging Topics in Computing, 8(2):431–441.
Barr, M. and Massa, A. (2006). Programming embedded systems: with C and GNU development tools. O’Reilly Media, 2 edition.
Bellard, F. (2005). QEMU, a Fast and Portable Dynamic Translator. In USENIX Annual Technical Conf, pages 41–46.
Benso, A., Di Carlo, S., Di Natale, G., Prinetto, P., and Tagliaferri, L. (2001). Control-flow checking via regular expressions. In Proceedings 10th Asian Test Symposium, pages 299–303. IEEE.
Bernardi, P., Bolzani Poehls, L., Grosso, M., and Sonza Reorda, M. (2010). A Hybrid Approach for Detection and Correction of Transient Faults in SoCs. IEEE Transactions on Dependable and Secure Computing, 7(4):439–445.
Bohman, M., James, B., Wirthlin, M. J., Quinn, H., and Goeders, J. (2019). Microcontroller compiler-assisted software fault tolerance. IEEE Transactions on Nuclear Science, 66(1):223–232.
Boston, B., Sampson, A., Grossman, D., and Ceze, L. (2015). Probability type inference for flexible approximate programming. In Proceedings of the 2015 ACM SIGPLAN International Conference on Object-Oriented Programming, Systems, Languages, and Applications, pages 470–487, New York, NY, USA. ACM.
Carbin, M., Misailovic, S., and Rinard, M. C. (2013). Verifying quantitative reliability for programs that execute on unreliable hardware. In Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications, pages 33–52, New York, NY, USA. ACM.
Chang, I. J., Mohapatra, D., and Roy, K. (2011). A Priority-Based 6T/8T Hybrid SRAM Architecture for Aggressive Voltage Scaling in Video Applications. IEEE Transactions on Circuits and Systems for Video Technology, 21(2):101–112.
Chang, J., Reis, G., and August, D. (2006). Automatic instruction-level software-only recovery. In International Conference on Dependable Systems and Networks (DSN’06), pages 83–92. IEEE.
Chen, K., Han, J., and Lombardi, F. (2017). Two approximate voting schemes for reliable computing. IEEE Transactions on Computers, 66(7):1227–1239.
Chielle, E., Azambuja, J. R., Barth, R. S., Almeida, F., and Kastensmidt, F. L. (2013). Evaluating selective redundancy in data-flow software-based techniques. IEEE Transactions on Nuclear Science, 60(4):2768–2775.
Chippa, V. K., Mohapatra, D., Roy, K., Chakradhar, S. T., and Raghunathan, A. (2014). Scalable Effort Hardware Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(9):2004–2016.
Chippa, V. K., Roy, K., Chakradhar, S. T., and Raghunathan, A. (2013a). Managing the Quality vs. Efficiency Trade-off Using Dynamic Effort Scaling. ACM Transactions on Embedded Computing Systems, 12(2s):1–23.
Chippa, V. K., Venkataramani, S., Chakradhar, S. T., Roy, K., and Raghunathan, A. (2013b). Approximate computing: An integrated hardware approach. In 2013 Asilomar Conference on Signals, Systems and Computers, pages 111–117. IEEE.
Cho, H., Leem, L., and Mitra, S. (2012). ERSA: Error Resilient System Architecture for Probabilistic Applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(4):546–558.
Choudhury, M. R. and Mohanram, K. (2008). Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In 2008 Design, Automation and Test in Europe, pages 903–908. IEEE.
Clark, L. T., Patterson, D. W., Hindman, N. D., Holbert, K. E., Maurya, S., and Guertin, S. M. (2011). A Dual Mode Redundant Approach for Microprocessor Soft Error Hardness. IEEE Transactions on Nuclear Science, 58(6):3018–3025.
Creswell, J. W. (2014). Research design : qualitative, quantitative, and mixed methods approaches. SAGE Publications, 4 edition.
Deveautour, B., Traiola, M., Virazel, A., and Girard, P. (2020). Qamr: An approximation-based fully reliable tmr alternative for area overhead reduction. Proceedings of the European Test Workshop, 2020-May.
Deveautour, B., Traiola, M., Virazel, A., and Girard, P. (2021). Reducing Overprovision of Triple Modular Reduncancy Owing to Approximate Computing. In 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), pages 1–7. IEEE.
Di Mascio, S., Menicucci, A., Furano, G., Monteleone, C., and Ottavi, M. (2019). The case for risc-v in space. In Saponara, S. and De Gloria, A., editors, Applications in Electronics Pervading Industry, Environment and Society, pages 319–325, Cham. Springer International Publishing.
Doochul Shin and Gupta, S. K. (2011). A new circuit simplification method for error tolerant applications. In 2011 Design, Automation & Test in Europe, pages 1–6. IEEE.
Dubrova, E. (2013). Fault-Tolerant Design. Springer New York, New York, NY.
ECSS (2016). Techniques for radiation effects mitigation in ASICs and FPGAs handbook (1 September 2016) | European Cooperation for Space Standardization. ESA Requirements and Standards Division.
Esmaeilzadeh, H., Sampson, A., Ceze, L., and Burger, D. (2012a). Architecture support for disciplined approximate programming. In Proceedings of the 17th international conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS ’12, page 301, New York, New York, USA. ACM Press.
Esmaeilzadeh, H., Sampson, A., Ceze, L., and Burger, D. (2012b). Neural Acceleration for General-Purpose Approximate Programs. In Microarchitecture (MICRO), 2012 45th Annual IEEE/ACM International Symposium. IEEE.
Fulton, R. and Vandermolen, R. (2014). Airborne electronic hardware design assurance : a practitioner’s guide to RTCA/DO-254. CRC Press.
Gala, N., Venkataramani, S., Raghunathan, A., and Kamakoti, V. (2017). Approximate Error Detection With Stochastic Checkers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(8):2258–2270.
Gao, M. and Qu, G. (2017). A novel approximate computing based security primitive for the Internet of Things. In 2017 IEEE International Symposium on Circuits and Systems (ISCAS), pages 1–4. IEEE.
Garcia-Astudillo, L. A., Entrena, L., Lindoso, A., and Martin, H. (2022). Reduced resolution redundancy: A novel approximate error mitigation technique. IEEE Access, 10:20643–20651.
Goloubeva, O., Rebaudengo, M., Sonza Reorda, M., and Violante, M. (2003). Soft-error detection using control flow assertions. In Proceedings. 16th IEEE Symposium on Computer Arithmetic, pages 581–588. IEEE Comput. Soc.
Gomes, I. A. C. and Kastensmidt, F. G. L. (2013). Reducing TMR overhead by combining approximate circuit, transistor topology and input permutation approaches. Chip in Curitiba 2013 - SBCCI 2013: 26th Symposium on Integrated Circuits and Systems Design.
Gomes, I. A. C., Martins, M., Reis, A., and Kastensmidt, F. L. (2015). Using only redundant modules with approximate logic to reduce drastically area overhead in TMR. In 2015 16th Latin-American Test Symposium (LATS), pages 1–6. IEEE.
Gupta, V., Mohapatra, D., Raghunathan, A., and Roy, K. (2013). Low-Power Digital Signal Processing Using Approximate Adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(1):124–137.
Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., and Brown, R. B. (2001). MiBench: A free, commercially representative embedded benchmark suite. Proceedings of the Workload Characterization, 2001. WWC-4. 2001 IEEE International Workshop, pages 3–14.
Han, J. and Orshansky, M. (2013). Approximate computing: An emerging paradigm for energy-efficient design. Proceedings - 2013 18th IEEE European Test Symposium, ETS 2013.
He, X., Yan, G., Han, Y., and Li, X. (2016). ACR: Enabling computation reuse for approximate computing. Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 25-28-Janu:643–648.
Hegde, R. and Shanbhag, N. (2001). Soft digital signal processing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 9(6):813–823.
Herrera-Alzu, I. and Lopez-Vallejo, M. (2013). Design Techniques for Xilinx Virtex FPGA Configuration Memory Scrubbers. IEEE Transactions on Nuclear Science, 60(1):376–385.
Ho, N.-M., Manogaran, E., Wong, W.-F., and Anoosheh, A. (2017). Efficient floating point precision tuning for approximate computing. In 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), pages 63–68. IEEE.
Huang, Q. and Jiang, J. (2019). An overview of radiation effects on electronic devices under severe accident conditions in NPPs, rad-hardened design techniques and simulation tools. Progress in Nuclear Energy, 114(November 2018):105–120.
IEC (2012). INTERNATIONAL STANDARD Process management for avionics – Atmospheric radiation effects – Part 1: Accommodation of atmospheric radiation effects via single event effects within avionics electronic equipment.
ISO (2018). ISO 26262 Functional Safety Sandards for Road Vehicles.
James, B., Quinn, H., Wirthlin, M., and Goeders, J. (2020). Applying Compiler-Automated Software Fault Tolerance to Multiple Processor Platforms. IEEE Transactions on Nuclear Science, 67(1):321–327.
Karnik, T., Hazucha, P., and Patel, J. (2004). Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Transactions on Dependable and Secure Computing, 1(2):128–143.
Keramidas, G., Kokkala, C., and Stamoulis, I. (2015). Clumsy Value Cache: An Approximate Memoization Technique for Mobile GPU Fragment Shaders. In Workshop on Approximate Computing (WAPCO’15).
Kooli, M. and Di Natale, G. (2014). A survey on simulation-based fault injection tools for complex systems. 9th IEEE Int Conf on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2014, pages 1–6.
Leveugle, R., Calvez, A., Maistri, P., and Vanhauwaert, P. (2009). Statistical fault injection: Quantified error and confidence. In Design, Automation & Test in Europe Conf, pages 502–506. IEEE.
Liu, K., Li, Y., and Ouyang, L. (2021). Fast recoverable heterogeneous quad-core lockstep architecture. 2021 International Conference on Advanced Computing and Endogenous Security, ICACES 2021.
LLVM (2023). The llvm compiler infrastructure.
Lotfi, A., Rahimi, A., Yazdanbakhsh, A., Esmaeilzadeh, H., and Gupta, R. K. (2016). GRATER: An Approximation Workflow for Exploiting Data-Level Parallelism in FPGA Acceleration. Design, Automation and Test in Europe (DATE). Design, Automation & Test in Europe (DATE), March 14-18, Dresden, Germany, pages 1393–1398.
Mahdiani, H. R., Ahmadi, A., Fakhraie, S. M., and Lucas, C. (2010). Bio-Inspired Imprecise Computational Blocks for Efficient VLSI Implementation of Soft-Computing Applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(4):850–862.
Mahmood, A. and McCluskey, E. (1988). Concurrent error detection using watchdog processors-a survey. IEEE Transactions on Computers, 37(2):160–174.
Martínez-Álvarez, A., Cuenca-Asensi, S., and Restrepo-Calle, F. (2016). Soft Error Mitigation in Soft-Core Processors. In Kastensmidt, F. and Rech, P., editors, FPGAs and Parallel Architectures for Aerospace Applications, chapter 16, pages 239–258. Springer International Publishing, Cham.
Martinez-Alvarez, A., Cuenca-Asensi, S., Restrepo-Calle, F., Pinto, F. R. P., Guzman-Miranda, H., and Aguirre, M. A. (2012). Compiler-Directed Soft Error Mitigation for Embedded Systems. IEEE Transactions on Dependable and Secure Computing, 9(2):159–172.
Martinez-Alvarez, A., Restrepo-Calle, F., Cuenca-Asensi, S., Reyneri, L. M., Lindoso, A., and Entrena, L. (2016). A Hardware-Software Approach for On-Line Soft Error Mitigation in Interrupt-Driven Applications. IEEE Transactions on Dependable and Secure Computing, 13(4):502–508.
McAfee, L. and Olukotun, K. (2015). EMEURO: A framework for generating multi-purpose accelerators via deep learning. In 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO), pages 125–135. IEEE.
Menard, D., Chillet, D., and Sentieys, O. (2006). Floating-to-Fixed-Point Conversion for Digital Signal Processors. EURASIP Journal on Advances in Signal Processing, 2006(1):096421.
Miao, J., Gerstlauer, A., and Orshansky, M. (2013). Approximate logic synthesis under general error magnitude and frequency constraints. In 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 779–786. IEEE.
Misailovic, S., Carbin, M., Achour, S., Qi, Z., and Rinard, M. C. (2014). Chisel: reliability- and accuracy-aware optimization of approximate computational kernels. In Proceedings of the 2014 ACM International Conference on Object Oriented Programming Systems Languages & Applications - OOPSLA ’14, pages 309–328, New York, New York, USA. ACM Press.
Misailovic, S., Sidiroglou, S., Hoffmann, H., and Rinard, M. (2010). Quality of service profiling. In Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - ICSE ’10, volume 1, page 25, New York, New York, USA. ACM Press.
Mishra, A. K., Barik, R., and Paul, S. (2014). iACT: A Software-Hardware Framework for Understanding the Scope of Approximate Computing. In Wacas.
Mittal, S. (2016). A Survey of Techniques for Approximate Computing. ACM Computing Surveys, 48(4):1–33.
Mohanram, K. and Touba, N. (2003). Partial error masking to reduce soft error failure rate in logic circuits. In Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, pages 433–440. IEEE Comput. Soc.
Mohapatra, D., Chippa, V. K., Raghunathan, A., and Roy, K. (2011). Design of voltage-scalable meta-functions for approximate computing. In 2011 Design, Automation & Test in Europe, pages 1–6. IEEE.
Mukherjee, S., Kontz, M., and Reinhardt, S. (2002). Detailed design and evaluation of redundant multi-threading alternatives. In Proceedings 29th Annual International Symposium on Computer Architecture, pages 99–110. IEEE Comput. Soc.
Mukherjee, S., Weaver, C., Emer, J., Reinhardt, S., and Austin, T. (2003). A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pages 29–40. IEEE Comput. Soc.
Nicolaidis, M. (2005). Design for soft error mitigation. IEEE Transactions on Device and Materials Reliability, 5(3):405–418.
Nicolaidis, M., editor (2011). Soft Errors in Modern Electronic Systems, volume 41 of Frontiers in Electronic Testing. Springer US, Boston, MA.
Oh, N. and McCluskey, E. J. (2002). Error detection by selective procedure call duplication for low energy consumption. IEEE Transactions on Reliability, 51(4):392–402.
Omar, H., Shi, Q., Ahmad, M., Dogan, H., and Khan, O. (2018). Declarative Resilience. ACM Transactions on Embedded Computing Systems, 17(4):1–27.
Parr, T. (2013). The Definite ANTLR 4 Reference. The Pragmatic Bookshelf, Dallas.
Patterson, D. and Waterman, A. (2017). The RISC-V Reader: An Open Architecture Atlas. Strawberry Canyon.
Qian Zhang, Yuan, F., Ye, R., and Xu, Q. (2014). ApproxIt: An approximate computing framework for iterative methods. In 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pages 1–6. IEEE.
Quinn, H., Black, D., Robinson, W., and Buchner, S. (2013). Fault simulation and emulation tools to augment radiation-hardness assurance testing. IEEE Trans Nuclear Science, 60(3):2119–2142.
Ragel, R. G. and Parameswaran, S. (2011). A hybrid hardware–software technique to improve reliability in embedded processors. ACM Transactions on Embedded Computing Systems, 10(3):1–16.
Rajesh Venkatasubramanian, Hayes, J., and Murray, B. (2003). Low-cost on-line fault detection using control flow assertions. In 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., pages 137–143. IEEE Comput. Soc.
Ranjan, A., Raha, A., Venkataramani, S., Roy, K., and Raghunathan, A. (2014). ASLAN: Synthesis of approximate sequential circuits. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014, pages 1–6, New Jersey. IEEE Conference Publications.
Reddy, V. K., Rotenberg, E., and Parthasarathy, S. (2006). Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. ACM SIGARCH Computer Architecture News, 34(5):83.
Reis, G., Chang, J., Vachharajani, N., Rangan, R., August, D., and Mukherjee, S. (2005). Design and Evaluation of Hybrid Fault-Detection Systems. In 32nd International Symposium on Computer Architecture (ISCA’05), pages 148–159. IEEE.
Renganarayana, L., Srinivasan, V., Nair, R., and Prener, D. (2012). Programming with relaxed synchronization. In Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability - RACES ’12, page 41, New York, New York, USA. ACM Press.
Restrepo-Calle, F., Martínez-Álvarez, A., Cuenca-Asensi, S., and Jimeno-Morenilla, A. (2013). Selective SWIFT-R. A Flexible Software-Based Technique for Soft Error Mitigation in Low-Cost Embedded Systems. Journal of Electronic Testing, 29(6):825–838.
Rodrigues, C., Marques, I., Pinto, S., Gomes, T., and Tavares, A. (2019). Towards a heterogeneous fault-tolerance architecture based on arm and risc-v processors. IECON Proceedings (Industrial Electronics Conference), 2019-October:3112–3117.
Rodrigues, G. S., Barros de Oliveira, A., Bosio, A., Kastensmidt, F. L., and Pignaton de Freitas, E. (2018). ARFT: An Approximative Redundant Technique for Fault Tolerance. In 2018 Conference on Design of Circuits and Integrated Systems (DCIS), pages 1–6. IEEE.
Roy, D. B., Fritzmann, T., and Sigl, G. (2020). Efficient hardware/software co-design for post-quantum crypto algorithm sike on arm and risc-v based microcontrollers. In 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), pages 1–9.
Rubio-González, C., Nguyen, C., Nguyen, H. D., Demmel, J., Kahan, W., Sen, K., Bailey, D. H., Iancu, C., and Hough, D. (2013). Precimonious. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis on - SC ’13, pages 1–12, New York, New York, USA. ACM Press.
Salehi, M., Tavana, M. K., Rehman, S., Kriebel, F., Shafique, M., Ejlali, A., and Henkel, J. (2015). DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations. In 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pages 225–230. IEEE.
Samadi, M., Lee, J., Jamshidi, D. A., Hormati, A., and Mahlke, S. (2013). SAGE: Self-tuning approximation for graphics engines. MICRO 2013 - Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, pages 13–24.
Sampson, A. (2015). Hardware and Software for Approximate Computing. PhD thesis, University of Washington.
Sampson, A., Dietl, W., Fortuna, E., Gnanapragasam, D., Ceze, L., and Grossman, D. (2011). EnerJ: approximate data types for safe and general low-power computation. In Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation - PLDI ’11, page 164, New York, New York, USA. ACM Press.
Sampson, A., Nelson, J., Strauss, K., and Ceze, L. (2014). Approximate Storage in Solid-State Memories. ACM Transactions on Computer Systems, 32(3):1–23.
Sampson, A., Ransford, B., and Ceze, L. (2015). ACCEPT: A Programmer-Guided Compiler Framework for Practical Approximate Computing. University of Washington Technical Report UW-CSE-15-01.
Sanchez, A., Entrena, L., and Kastensmidt, F. (2018). Approximate TMR for selective error mitigation in FPGAs based on testability analysis. In 2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), pages 112–119. IEEE.
Sanchez-Clemente, A. J., Entrena, L., and Garcia-Valderas, M. (2016). Partial TMR in FPGAs Using Approximate Logic Circuits. IEEE Transactions on Nuclear Science, 63(4):2233–2240.
Shi, Q., Hoffmann, H., and Khan, O. (2015). A Cross-Layer Multicore Architecture to Tradeoff Program Accuracy and Resilience Overheads. IEEE Computer Architecture Letters, 14(2):85–89.
Shivakumar, P., Kistler, M. D., Keckler, S. W., Burger, D. C., and Alvisi, L. (2002). Modeling the effect of technology trends on the soft error rate of combinational logic. Dependable Systems and Networks, 2002. DSN 2002. Proceedings. International Conference on, pages 389–398.
Sidiroglou-Douskos, S., Misailovic, S., Hoffmann, H., and Rinard, M. (2011). Managing performance vs. accuracy trade-offs with loop perforation. In Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering - SIGSOFT/FSE ’11, page 124, New York, New York, USA. ACM Press.
Stanley-Marbell, P., Alaghi, A., Carbin, M., Darulova, E., Dolecek, L., Gerstlauer, A., Gillani, G., Jevdjic, D., Moreau, T., Cacciotti, M., Daglis, A., Jerger, N. E., Falsafi, B., Misailovic, S., Sampson, A., and Zufferey, D. (2018). Exploiting errors for efficiency: A survey from circuits to algorithms.
Taher, F. N., Callenes-Sloan, J., and Schafer, B. C. (2018). A Machine Learning based Hard Fault Recuperation Model for Approximate Hardware Accelerators. In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pages 1–6. IEEE.
Texas Instruments, I. (2023). Msp430 ultra-low-power mcus.
Tiwari, V., Malik, S., and Wolfe, A. (1994). Power analysis of embedded software: a first step towards software power minimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2(4):437–445.
Tofallis, C. (2015). A better measure of relative prediction accuracy for model selection and model estimation. Journal of the Operational Research Society, 66(8):1352–1362.
Traiola, M., Echavarria, J., Bosio, A., Teich, J., and O’Connor, I. (2021). Design Space Exploration of Approximation-Based Quadruple Modular Redundancy Circuits. In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, volume 2021-November, pages 1–9. IEEE.
Tsuchiya, T., Ootsu, K., Yokota, T., and Kojima, S. (2022). Assembly code translation from arm64 to risc-v. Proceedings - 2022 23rd ACIS International Summer Virtual Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD-Summer 2022, pages 68–73.
Van Leussen, M., Huisken, J., Wang, L., Jiao, H., and Pineda De Gyvez, J. (2017). Reconfigurable Support Vector Machine Classifier with Approximate Computing. In 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pages 13–18. IEEE.
Venkataramani, S., Chippa, V. K., Chakradhar, S. T., Roy, K., and Raghunathan, A. (2013). Quality programmable vector processors for approximate computing. In 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 1–12.
Venkataramani, S., Sabne, A., Kozhikkottu, V., Roy, K., and Raghunathan, A. (2012). SALSA: Systematic logic synthesis of approximate circuits. In Proceedings of the 49th Annual Design Automation Conference on - DAC ’12, page 796, New York, New York, USA. ACM Press.
Vera, X., Abella, J., Carretero, J., and González, A. (2009). Selective replication. ACM Transactions on Computer Systems, 27(4):1–30.
Wang, Y., Dong, J., Xu, Q., and Qu, G. (2021). Ftapprox: A fault-tolerant approximate arithmetic computing data format. Proceedings -Design, Automation and Test in Europe, DATE, 2021-February:1548–1551.
Xu, Q., Mytkowicz, T., and Kim, N. S. (2016). Approximate Computing: A Survey. IEEE Design and Test, 33(1):8–22.
Yang, Z., Jain, A., Liang, J., Han, J., and Lombardi, F. (2013). Approximate XOR/XNOR-based adders for inexact computing. In 2013 13th IEEE International Conference on Nanotechnology (IEEE-NANO 2013), pages 690–693. IEEE.
Yanmei Li, Dongmei Li, and Zhihua Wang (2000). A new approach to detect-mitigate-correct radiation-induced faults for SRAM-based FPGAs in aerospace application. In Proceedings of the IEEE 2000 National Aerospace and Electronics Conference. NAECON 2000. Engineering Tomorrow (Cat. No.00CH37093), volume 1, pages 588–594. IEEE.
Yazdanbakhsh, A., Mahajan, D., Esmaeilzadeh, H., and Lotfi-Kamran, P. (2017). AxBench: A Multiplatform Benchmark Suite for Approximate Computing. IEEE Design & Test, 34(2):60–68.
Yen-Kuang Chen, Chhugani, J., Dubey, P., Hughes, C., Daehyun Kim, Kumar, S., Lee, V., Nguyen, A., and Smelyanskiy, M. (2008). Convergence of Recognition, Mining, and Synthesis Workloads and Its Implications. Proceedings of the IEEE, 96(5):790–807.
Zhang, Q., Wang, T., Tian, Y., Yuan, F., and Xu, Q. (2015). ApproxANN: An Approximate Computing Framework for Artificial Neural Network. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, pages 701–706, New Jersey. IEEE Conference Publications.
dc.rights.coar.fl_str_mv http://purl.org/coar/access_right/c_abf2
dc.rights.license.spa.fl_str_mv Atribución-NoComercial-SinDerivadas 4.0 Internacional
dc.rights.uri.spa.fl_str_mv http://creativecommons.org/licenses/by-nc-nd/4.0/
dc.rights.accessrights.spa.fl_str_mv info:eu-repo/semantics/openAccess
rights_invalid_str_mv Atribución-NoComercial-SinDerivadas 4.0 Internacional
http://creativecommons.org/licenses/by-nc-nd/4.0/
http://purl.org/coar/access_right/c_abf2
eu_rights_str_mv openAccess
dc.format.extent.spa.fl_str_mv xviii, 135 páginas
dc.format.mimetype.spa.fl_str_mv application/pdf
dc.publisher.spa.fl_str_mv Universidad Nacional de Colombia
dc.publisher.program.spa.fl_str_mv Bogotá - Ingeniería - Doctorado en Ingeniería - Sistemas y Computación
dc.publisher.faculty.spa.fl_str_mv Facultad de Ingeniería
dc.publisher.place.spa.fl_str_mv Bogotá, Colombia
dc.publisher.branch.spa.fl_str_mv Universidad Nacional de Colombia - Sede Bogotá
institution Universidad Nacional de Colombia
bitstream.url.fl_str_mv https://repositorio.unal.edu.co/bitstream/unal/85008/1/license.txt
https://repositorio.unal.edu.co/bitstream/unal/85008/2/80017086.2023.pdf
https://repositorio.unal.edu.co/bitstream/unal/85008/3/80017086.2023.pdf.jpg
bitstream.checksum.fl_str_mv eb34b1cf90b7e1103fc9dfd26be24b4a
72ab82f816e7846874f62364c024a965
5ee448a9f61900ccf3a65767bacb78da
bitstream.checksumAlgorithm.fl_str_mv MD5
MD5
MD5
repository.name.fl_str_mv Repositorio Institucional Universidad Nacional de Colombia
repository.mail.fl_str_mv repositorio_nal@unal.edu.co
_version_ 1814089473974075392
spelling Atribución-NoComercial-SinDerivadas 4.0 Internacionalhttp://creativecommons.org/licenses/by-nc-nd/4.0/info:eu-repo/semantics/openAccesshttp://purl.org/coar/access_right/c_abf2Restrepo Calle, Felipe82117c6c71f31211f86863049b600db3Pedraza Bonilla, Cesar Augustoc9f3a45785520d570c3ce7b608546d43Aponte Moreno, John Alexander1634f02dae254431b83a084d95de5423Plas Programming languages And SystemsAponte Moreno, Alexander[0000-0002-4569-0733]Aponte Moreno, Alexander[0001095072]Aponte Moreno, Alexander[57203206767]Aponte Moreno, Alexander[9kAWQNMAAAAJ]2023-11-28T16:42:24Z2023-11-28T16:42:24Z2023-11-08https://repositorio.unal.edu.co/handle/unal/85008Universidad Nacional de ColombiaRepositorio Institucional Universidad Nacional de Colombiahttps://repositorio.unal.edu.co/ilustraciones, diagramas, fotografíasDue to technological scaling, the susceptibility of modern systems to radiation effects has been steadily increasing. Consequently, it has become essential to protect systems against such faults. While these faults, referred to as soft errors, can be transient rather than permanent, they can disrupt system behavior, leading to malfunctions or crashes in electronic systems. Researchers have proposed fault tolerance techniques encompassing various approaches to address this problem. These techniques range from modifying chip materials and manufacturing processes to alternative design-level solutions. Such design-level alternatives include mitigation approaches based on hardware, software, or a combination of both, commonly known as hybrid methods. However, many of these techniques rely on redundancy, which imposes significant computational overhead. To address this challenge, Approximate Computing (AC) techniques have gained attention as an alternative to reduce the overhead associated with transient faults mitigation. These proposals have demonstrated that AC can improve efficiency by balancing fault coverage, overheads, and result accuracy. However, most of these proposals focus primarily on the circuit level, requiring physical modifications to the system or specific implementation requirements tailored to particular solutions. In this thesis, we present FTxAC, a novel strategy for designing radiation-induced fault-tolerant embedded systems that aims to reduce overheads. This strategy involves the use of approximate computing techniques in conjunction with radiation-induced fault mitigation strategies. FTxAC exhibits flexibility to incorporate various AC techniques and fault mitigation strategies. The proposed method has been thoroughly validated, considering reliability, result precision, and overheads. Fault injection experiments were conducted on four case studies encompassing various AC techniques and fault tolerance strategies. The results of these tests confirm the effectiveness of the presented design strategy. The improvements achieved in the approximation stage compensate for the overheads incurred in the hardening process. (Texto tomado de la fuente)Debido a la escala tecnológica, la susceptibilidad de los sistemas modernos a los efectos de la radiación ha aumentado constantemente. En consecuencia, se ha vuelto esencial proteger los sistemas contra tales fallos. Si bien estos fallos, denominados \textit{soft errors}, pueden ser transitorios en lugar de permanentes, pueden alterar el comportamiento del sistema y provocar mal funcionamiento o fallas en los sistemas electrónicos. Los investigadores han propuesto técnicas de tolerancia a fallos que abarcan varios enfoques para abordar este problema. Estas técnicas van desde la modificación de materiales de chips y procesos de fabricación hasta soluciones alternativas a nivel de diseño. Estas alternativas a nivel de diseño incluyen enfoques de mitigación basados en hardware, software o una combinación de ambos, comúnmente conocidos como métodos híbridos. Sin embargo, muchas de estas técnicas se basan en la redundancia, lo que impone una importante sobrecarga computacional. Para abordar este desafío, las técnicas de Computación Aproximada (CA) han llamado la atención como una alternativa para reducir los sobrecostos asociados con la mitigación de fallos transitorios. Estas propuestas han demostrado que la CA puede mejorar la eficiencia al equilibrar la cobertura de fallas, los sobrecostos y la precisión de los resultados. Sin embargo, la mayoría de estas propuestas se centran principalmente en el nivel de circuito, lo que requiere modificaciones físicas en el sistema o requisitos de implementación específicos adaptados a soluciones particulares. En esta tesis, presentamos FTxAC, una estrategia novedosa para diseñar sistemas embebidos tolerantes a fallos inducidos por radiación que tiene como objetivo reducir los sobrecostos. Esta estrategia implica el uso de técnicas de computación aproximada junto con estrategias de mitigación de fallos inducidas por radiación. FTxAC muestra flexibilidad para incorporar varias técnicas de CA y estrategias de mitigación de fallos. El método propuesto ha sido validado exhaustivamente, considerando la confiabilidad, la precisión de los resultados y los sobrecostos. Se realizaron experimentos de inyección de fallos en cuatro estudios de caso que abarcan diversas técnicas de CA y estrategias de tolerancia a fallos. Los resultados de estas pruebas confirman la efectividad de la estrategia de diseño presentada. Las mejoras logradas en la etapa de aproximación compensan los sobrecostos incurridos en el proceso de endurecimiento.DoctoradoDoctorado en Ingeniería - Sistemas y ComputaciónComputación aplicadaxviii, 135 páginasapplication/pdfengUniversidad Nacional de ColombiaBogotá - Ingeniería - Doctorado en Ingeniería - Sistemas y ComputaciónFacultad de IngenieríaBogotá, ColombiaUniversidad Nacional de Colombia - Sede Bogotá000 - Ciencias de la computación, información y obras generales::003 - Sistemas620 - Ingeniería y operaciones afines::629 - Otras ramas de la ingenieríaRadiationRadiaciónMétodos orientados a objetos (computadores)Object-oriented methods (computer)Diagnosis computer assistedDiagnóstico por computaciónFault ToleranceApproximate ComputingReliabilitySoft ErrorsTolerancia a fallosComputación aproximadaConfiabilidadDesign of Fault Tolerant Embedded Systems using Approximate Computing Techniques.Diseño de sistemas embebidos tolerantes a fallos usando técnicas de computación aproximada.Trabajo de grado - Doctoradoinfo:eu-repo/semantics/doctoralThesisinfo:eu-repo/semantics/acceptedVersionhttp://purl.org/coar/resource_type/c_db06Texthttp://purl.org/redcol/resource_type/TDAamodt, T. M. and Chow, P. (2008). Compile-time and instruction-set methods for improving floating-to fixed-point conversion accuracy. ACM Transactions on Embedded Computing Systems, 7(3):1–27.AEC (2014). FAILURE MECHANISM BASED STRESS TEST QUALIFICATION FOR INTEGRATED CIRCUITS Automotive Electronics Council Rev-H.Agarwal, A., Rinard, M., Sidiroglou, S., Misailovic, S., and Hoffmann, H. (2009). Using Code Perforation to Improve Performance, Reduce Energy Consumption, and Respond to Failures. Technical report, MIT.Alaghi, A. and Hayes, J. P. (2015). STRAUSS: Spectral Transform Use in Stochastic Circuit Synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(11):1770–1783.Aponte-Moreno, A., Isaza-Gonzalez, J., Serrano-Cases, A., Martinez-Alvarez, A., Cuenca-Asensi, S., and Restrepo-Calle, F. (2020). An experimental comparison of fault injection tools for microprocessor-based systems. In 21st IEEE Latin-American Test Symposium, LATS 2020.Aponte-Moreno, A., Isaza-González, J., Serrano-Cases, A., Martínez-Álvarez, A., Cuenca-Asensi, S., and Restrepo-Calle, F. (2023). Evaluation of fault injection tools for reliability estimation of microprocessor-based embedded systems. Microprocessors and Microsystems, 96:104723.Aponte-Moreno, A., Moncada, A., Restrepo-Calle, F., and Pedraza, C. (2018). A review of approximate computing techniques towards fault mitigation in HW/SW systems. In 2018 IEEE 19th Latin- American Test Symposium (LATS), pages 1–6. IEEE.Aponte-Moreno, A., Pedraza, C., and Restrepo-Calle, F. (2019a). Reducing overheads in software-based fault tolerant systems using approximate computing. In LATS 2019 - 20th IEEE Latin American Test Symposium.Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2019b). A Low-Overhead Radiation Hardening Approach using Approximate Computing and Selective Fault Tolerance Techniques at the Software Level. In 2019 19th European Conference on Radiation and Its Effects on Components and Systems (RADECS), pages 1–4. IEEE.Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2019c). MiFIT: A fault injection tool to validate the reliability of microprocessors. In LATS 2019 - 20th IEEE Latin American Test Symposium.Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2019d). Using approximate computing and selective hardening for the reduction of overheads in the design of radiation-induced fault-tolerant systems. Electronics (Switzerland), 8.Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2021a). A Low-cost Fault Tolerance Method for ARM and RISC-V Microprocessor-based Systems using Temporal Redundancy and Approximate Computing through Simplified Iterations. Journal of Integrated Circuits and Systems, 16(3):1–14.Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. (2021b). Reliability Evaluation of RISC-V and ARM Microprocessors Through a New Fault Injection Tool. In 2021 IEEE 22nd Latin American Test Symposium (LATS), pages 1–6. IEEE.Aponte-Moreno, A., Restrepo-Calle, F., and Pedraza, C. A. (2021c). FTxAC: Leveraging the Approximate Computing Paradigm in the Design of Fault-Tolerant Embedded Systems to Reduce Overheads. IEEE Transactions on Emerging Topics in Computing, 9(2):797–810.Arifeen, T., Hassan, A. S., Moradian, H., and Lee, J. A. (2016). Probing Approximate TMR in Error Resilient Applications for Better Design Tradeoffs. In Proceedings - 19th Euromicro Conference on Digital System Design, DSD 2016, pages 637–640.ARM (2023). Arm ref. manual.Augustin, M., Gossel, M., and Kraemer, R. (2011). Implementation of Selective Fault Tolerance with conventional synthesis tools. In 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, pages 213–218. IEEE.Avizienis, A. (1985). The N-Version Approach to Fault-Tolerant Software. IEEE Transactions on Software Engineering, SE-11(12):1491–1501.Azambuja, J. R., Lapolli, Â., Rosa, L., and Kastensmidt, F. L. (2011a). Detecting SEEs in microprocessors through a non-intrusive hybrid technique. IEEE Transactions on Nuclear Science, 58(3 PART 2):993–1000.Azambuja, J. R., Pagliarini, S., Rosa, L., and Kastensmidt, F. L. (2011b). Exploring the Limitations of Software-based Techniques in SEE Fault Coverage. Journal of Electronic Testing, 27(4):541–550.Baek, W. and Chilimbi, T. M. (2010). Green: A Framework for Supporting Energy-Conscious Programming using Controlled Approximation. ACM SIGPLAN Notices, 45(6):198–209.Baharvand, F. and Miremadi, S. G. (2020). Lexact: Low energy n-modular redundancy using approximate computing for real-time multicore processors. IEEE Transactions on Emerging Topics in Computing, 8(2):431–441.Barr, M. and Massa, A. (2006). Programming embedded systems: with C and GNU development tools. O’Reilly Media, 2 edition.Bellard, F. (2005). QEMU, a Fast and Portable Dynamic Translator. In USENIX Annual Technical Conf, pages 41–46.Benso, A., Di Carlo, S., Di Natale, G., Prinetto, P., and Tagliaferri, L. (2001). Control-flow checking via regular expressions. In Proceedings 10th Asian Test Symposium, pages 299–303. IEEE.Bernardi, P., Bolzani Poehls, L., Grosso, M., and Sonza Reorda, M. (2010). A Hybrid Approach for Detection and Correction of Transient Faults in SoCs. IEEE Transactions on Dependable and Secure Computing, 7(4):439–445.Bohman, M., James, B., Wirthlin, M. J., Quinn, H., and Goeders, J. (2019). Microcontroller compiler-assisted software fault tolerance. IEEE Transactions on Nuclear Science, 66(1):223–232.Boston, B., Sampson, A., Grossman, D., and Ceze, L. (2015). Probability type inference for flexible approximate programming. In Proceedings of the 2015 ACM SIGPLAN International Conference on Object-Oriented Programming, Systems, Languages, and Applications, pages 470–487, New York, NY, USA. ACM.Carbin, M., Misailovic, S., and Rinard, M. C. (2013). Verifying quantitative reliability for programs that execute on unreliable hardware. In Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications, pages 33–52, New York, NY, USA. ACM.Chang, I. J., Mohapatra, D., and Roy, K. (2011). A Priority-Based 6T/8T Hybrid SRAM Architecture for Aggressive Voltage Scaling in Video Applications. IEEE Transactions on Circuits and Systems for Video Technology, 21(2):101–112.Chang, J., Reis, G., and August, D. (2006). Automatic instruction-level software-only recovery. In International Conference on Dependable Systems and Networks (DSN’06), pages 83–92. IEEE.Chen, K., Han, J., and Lombardi, F. (2017). Two approximate voting schemes for reliable computing. IEEE Transactions on Computers, 66(7):1227–1239.Chielle, E., Azambuja, J. R., Barth, R. S., Almeida, F., and Kastensmidt, F. L. (2013). Evaluating selective redundancy in data-flow software-based techniques. IEEE Transactions on Nuclear Science, 60(4):2768–2775.Chippa, V. K., Mohapatra, D., Roy, K., Chakradhar, S. T., and Raghunathan, A. (2014). Scalable Effort Hardware Design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(9):2004–2016.Chippa, V. K., Roy, K., Chakradhar, S. T., and Raghunathan, A. (2013a). Managing the Quality vs. Efficiency Trade-off Using Dynamic Effort Scaling. ACM Transactions on Embedded Computing Systems, 12(2s):1–23.Chippa, V. K., Venkataramani, S., Chakradhar, S. T., Roy, K., and Raghunathan, A. (2013b). Approximate computing: An integrated hardware approach. In 2013 Asilomar Conference on Signals, Systems and Computers, pages 111–117. IEEE.Cho, H., Leem, L., and Mitra, S. (2012). ERSA: Error Resilient System Architecture for Probabilistic Applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(4):546–558.Choudhury, M. R. and Mohanram, K. (2008). Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In 2008 Design, Automation and Test in Europe, pages 903–908. IEEE.Clark, L. T., Patterson, D. W., Hindman, N. D., Holbert, K. E., Maurya, S., and Guertin, S. M. (2011). A Dual Mode Redundant Approach for Microprocessor Soft Error Hardness. IEEE Transactions on Nuclear Science, 58(6):3018–3025.Creswell, J. W. (2014). Research design : qualitative, quantitative, and mixed methods approaches. SAGE Publications, 4 edition.Deveautour, B., Traiola, M., Virazel, A., and Girard, P. (2020). Qamr: An approximation-based fully reliable tmr alternative for area overhead reduction. Proceedings of the European Test Workshop, 2020-May.Deveautour, B., Traiola, M., Virazel, A., and Girard, P. (2021). Reducing Overprovision of Triple Modular Reduncancy Owing to Approximate Computing. In 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), pages 1–7. IEEE.Di Mascio, S., Menicucci, A., Furano, G., Monteleone, C., and Ottavi, M. (2019). The case for risc-v in space. In Saponara, S. and De Gloria, A., editors, Applications in Electronics Pervading Industry, Environment and Society, pages 319–325, Cham. Springer International Publishing.Doochul Shin and Gupta, S. K. (2011). A new circuit simplification method for error tolerant applications. In 2011 Design, Automation & Test in Europe, pages 1–6. IEEE.Dubrova, E. (2013). Fault-Tolerant Design. Springer New York, New York, NY.ECSS (2016). Techniques for radiation effects mitigation in ASICs and FPGAs handbook (1 September 2016) | European Cooperation for Space Standardization. ESA Requirements and Standards Division.Esmaeilzadeh, H., Sampson, A., Ceze, L., and Burger, D. (2012a). Architecture support for disciplined approximate programming. In Proceedings of the 17th international conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS ’12, page 301, New York, New York, USA. ACM Press.Esmaeilzadeh, H., Sampson, A., Ceze, L., and Burger, D. (2012b). Neural Acceleration for General-Purpose Approximate Programs. In Microarchitecture (MICRO), 2012 45th Annual IEEE/ACM International Symposium. IEEE.Fulton, R. and Vandermolen, R. (2014). Airborne electronic hardware design assurance : a practitioner’s guide to RTCA/DO-254. CRC Press.Gala, N., Venkataramani, S., Raghunathan, A., and Kamakoti, V. (2017). Approximate Error Detection With Stochastic Checkers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(8):2258–2270.Gao, M. and Qu, G. (2017). A novel approximate computing based security primitive for the Internet of Things. In 2017 IEEE International Symposium on Circuits and Systems (ISCAS), pages 1–4. IEEE.Garcia-Astudillo, L. A., Entrena, L., Lindoso, A., and Martin, H. (2022). Reduced resolution redundancy: A novel approximate error mitigation technique. IEEE Access, 10:20643–20651.Goloubeva, O., Rebaudengo, M., Sonza Reorda, M., and Violante, M. (2003). Soft-error detection using control flow assertions. In Proceedings. 16th IEEE Symposium on Computer Arithmetic, pages 581–588. IEEE Comput. Soc.Gomes, I. A. C. and Kastensmidt, F. G. L. (2013). Reducing TMR overhead by combining approximate circuit, transistor topology and input permutation approaches. Chip in Curitiba 2013 - SBCCI 2013: 26th Symposium on Integrated Circuits and Systems Design.Gomes, I. A. C., Martins, M., Reis, A., and Kastensmidt, F. L. (2015). Using only redundant modules with approximate logic to reduce drastically area overhead in TMR. In 2015 16th Latin-American Test Symposium (LATS), pages 1–6. IEEE.Gupta, V., Mohapatra, D., Raghunathan, A., and Roy, K. (2013). Low-Power Digital Signal Processing Using Approximate Adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(1):124–137.Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., and Brown, R. B. (2001). MiBench: A free, commercially representative embedded benchmark suite. Proceedings of the Workload Characterization, 2001. WWC-4. 2001 IEEE International Workshop, pages 3–14.Han, J. and Orshansky, M. (2013). Approximate computing: An emerging paradigm for energy-efficient design. Proceedings - 2013 18th IEEE European Test Symposium, ETS 2013.He, X., Yan, G., Han, Y., and Li, X. (2016). ACR: Enabling computation reuse for approximate computing. Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 25-28-Janu:643–648.Hegde, R. and Shanbhag, N. (2001). Soft digital signal processing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 9(6):813–823.Herrera-Alzu, I. and Lopez-Vallejo, M. (2013). Design Techniques for Xilinx Virtex FPGA Configuration Memory Scrubbers. IEEE Transactions on Nuclear Science, 60(1):376–385.Ho, N.-M., Manogaran, E., Wong, W.-F., and Anoosheh, A. (2017). Efficient floating point precision tuning for approximate computing. In 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), pages 63–68. IEEE.Huang, Q. and Jiang, J. (2019). An overview of radiation effects on electronic devices under severe accident conditions in NPPs, rad-hardened design techniques and simulation tools. Progress in Nuclear Energy, 114(November 2018):105–120.IEC (2012). INTERNATIONAL STANDARD Process management for avionics – Atmospheric radiation effects – Part 1: Accommodation of atmospheric radiation effects via single event effects within avionics electronic equipment.ISO (2018). ISO 26262 Functional Safety Sandards for Road Vehicles.James, B., Quinn, H., Wirthlin, M., and Goeders, J. (2020). Applying Compiler-Automated Software Fault Tolerance to Multiple Processor Platforms. IEEE Transactions on Nuclear Science, 67(1):321–327.Karnik, T., Hazucha, P., and Patel, J. (2004). Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Transactions on Dependable and Secure Computing, 1(2):128–143.Keramidas, G., Kokkala, C., and Stamoulis, I. (2015). Clumsy Value Cache: An Approximate Memoization Technique for Mobile GPU Fragment Shaders. In Workshop on Approximate Computing (WAPCO’15).Kooli, M. and Di Natale, G. (2014). A survey on simulation-based fault injection tools for complex systems. 9th IEEE Int Conf on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2014, pages 1–6.Leveugle, R., Calvez, A., Maistri, P., and Vanhauwaert, P. (2009). Statistical fault injection: Quantified error and confidence. In Design, Automation & Test in Europe Conf, pages 502–506. IEEE.Liu, K., Li, Y., and Ouyang, L. (2021). Fast recoverable heterogeneous quad-core lockstep architecture. 2021 International Conference on Advanced Computing and Endogenous Security, ICACES 2021.LLVM (2023). The llvm compiler infrastructure.Lotfi, A., Rahimi, A., Yazdanbakhsh, A., Esmaeilzadeh, H., and Gupta, R. K. (2016). GRATER: An Approximation Workflow for Exploiting Data-Level Parallelism in FPGA Acceleration. Design, Automation and Test in Europe (DATE). Design, Automation & Test in Europe (DATE), March 14-18, Dresden, Germany, pages 1393–1398.Mahdiani, H. R., Ahmadi, A., Fakhraie, S. M., and Lucas, C. (2010). Bio-Inspired Imprecise Computational Blocks for Efficient VLSI Implementation of Soft-Computing Applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(4):850–862.Mahmood, A. and McCluskey, E. (1988). Concurrent error detection using watchdog processors-a survey. IEEE Transactions on Computers, 37(2):160–174.Martínez-Álvarez, A., Cuenca-Asensi, S., and Restrepo-Calle, F. (2016). Soft Error Mitigation in Soft-Core Processors. In Kastensmidt, F. and Rech, P., editors, FPGAs and Parallel Architectures for Aerospace Applications, chapter 16, pages 239–258. Springer International Publishing, Cham.Martinez-Alvarez, A., Cuenca-Asensi, S., Restrepo-Calle, F., Pinto, F. R. P., Guzman-Miranda, H., and Aguirre, M. A. (2012). Compiler-Directed Soft Error Mitigation for Embedded Systems. IEEE Transactions on Dependable and Secure Computing, 9(2):159–172.Martinez-Alvarez, A., Restrepo-Calle, F., Cuenca-Asensi, S., Reyneri, L. M., Lindoso, A., and Entrena, L. (2016). A Hardware-Software Approach for On-Line Soft Error Mitigation in Interrupt-Driven Applications. IEEE Transactions on Dependable and Secure Computing, 13(4):502–508.McAfee, L. and Olukotun, K. (2015). EMEURO: A framework for generating multi-purpose accelerators via deep learning. In 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO), pages 125–135. IEEE.Menard, D., Chillet, D., and Sentieys, O. (2006). Floating-to-Fixed-Point Conversion for Digital Signal Processors. EURASIP Journal on Advances in Signal Processing, 2006(1):096421.Miao, J., Gerstlauer, A., and Orshansky, M. (2013). Approximate logic synthesis under general error magnitude and frequency constraints. In 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 779–786. IEEE.Misailovic, S., Carbin, M., Achour, S., Qi, Z., and Rinard, M. C. (2014). Chisel: reliability- and accuracy-aware optimization of approximate computational kernels. In Proceedings of the 2014 ACM International Conference on Object Oriented Programming Systems Languages & Applications - OOPSLA ’14, pages 309–328, New York, New York, USA. ACM Press.Misailovic, S., Sidiroglou, S., Hoffmann, H., and Rinard, M. (2010). Quality of service profiling. In Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - ICSE ’10, volume 1, page 25, New York, New York, USA. ACM Press.Mishra, A. K., Barik, R., and Paul, S. (2014). iACT: A Software-Hardware Framework for Understanding the Scope of Approximate Computing. In Wacas.Mittal, S. (2016). A Survey of Techniques for Approximate Computing. ACM Computing Surveys, 48(4):1–33.Mohanram, K. and Touba, N. (2003). Partial error masking to reduce soft error failure rate in logic circuits. In Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, pages 433–440. IEEE Comput. Soc.Mohapatra, D., Chippa, V. K., Raghunathan, A., and Roy, K. (2011). Design of voltage-scalable meta-functions for approximate computing. In 2011 Design, Automation & Test in Europe, pages 1–6. IEEE.Mukherjee, S., Kontz, M., and Reinhardt, S. (2002). Detailed design and evaluation of redundant multi-threading alternatives. In Proceedings 29th Annual International Symposium on Computer Architecture, pages 99–110. IEEE Comput. Soc.Mukherjee, S., Weaver, C., Emer, J., Reinhardt, S., and Austin, T. (2003). A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pages 29–40. IEEE Comput. Soc.Nicolaidis, M. (2005). Design for soft error mitigation. IEEE Transactions on Device and Materials Reliability, 5(3):405–418.Nicolaidis, M., editor (2011). Soft Errors in Modern Electronic Systems, volume 41 of Frontiers in Electronic Testing. Springer US, Boston, MA.Oh, N. and McCluskey, E. J. (2002). Error detection by selective procedure call duplication for low energy consumption. IEEE Transactions on Reliability, 51(4):392–402.Omar, H., Shi, Q., Ahmad, M., Dogan, H., and Khan, O. (2018). Declarative Resilience. ACM Transactions on Embedded Computing Systems, 17(4):1–27.Parr, T. (2013). The Definite ANTLR 4 Reference. The Pragmatic Bookshelf, Dallas.Patterson, D. and Waterman, A. (2017). The RISC-V Reader: An Open Architecture Atlas. Strawberry Canyon.Qian Zhang, Yuan, F., Ye, R., and Xu, Q. (2014). ApproxIt: An approximate computing framework for iterative methods. In 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pages 1–6. IEEE.Quinn, H., Black, D., Robinson, W., and Buchner, S. (2013). Fault simulation and emulation tools to augment radiation-hardness assurance testing. IEEE Trans Nuclear Science, 60(3):2119–2142.Ragel, R. G. and Parameswaran, S. (2011). A hybrid hardware–software technique to improve reliability in embedded processors. ACM Transactions on Embedded Computing Systems, 10(3):1–16.Rajesh Venkatasubramanian, Hayes, J., and Murray, B. (2003). Low-cost on-line fault detection using control flow assertions. In 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., pages 137–143. IEEE Comput. Soc.Ranjan, A., Raha, A., Venkataramani, S., Roy, K., and Raghunathan, A. (2014). ASLAN: Synthesis of approximate sequential circuits. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014, pages 1–6, New Jersey. IEEE Conference Publications.Reddy, V. K., Rotenberg, E., and Parthasarathy, S. (2006). Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. ACM SIGARCH Computer Architecture News, 34(5):83.Reis, G., Chang, J., Vachharajani, N., Rangan, R., August, D., and Mukherjee, S. (2005). Design and Evaluation of Hybrid Fault-Detection Systems. In 32nd International Symposium on Computer Architecture (ISCA’05), pages 148–159. IEEE.Renganarayana, L., Srinivasan, V., Nair, R., and Prener, D. (2012). Programming with relaxed synchronization. In Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability - RACES ’12, page 41, New York, New York, USA. ACM Press.Restrepo-Calle, F., Martínez-Álvarez, A., Cuenca-Asensi, S., and Jimeno-Morenilla, A. (2013). Selective SWIFT-R. A Flexible Software-Based Technique for Soft Error Mitigation in Low-Cost Embedded Systems. Journal of Electronic Testing, 29(6):825–838.Rodrigues, C., Marques, I., Pinto, S., Gomes, T., and Tavares, A. (2019). Towards a heterogeneous fault-tolerance architecture based on arm and risc-v processors. IECON Proceedings (Industrial Electronics Conference), 2019-October:3112–3117.Rodrigues, G. S., Barros de Oliveira, A., Bosio, A., Kastensmidt, F. L., and Pignaton de Freitas, E. (2018). ARFT: An Approximative Redundant Technique for Fault Tolerance. In 2018 Conference on Design of Circuits and Integrated Systems (DCIS), pages 1–6. IEEE.Roy, D. B., Fritzmann, T., and Sigl, G. (2020). Efficient hardware/software co-design for post-quantum crypto algorithm sike on arm and risc-v based microcontrollers. In 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), pages 1–9.Rubio-González, C., Nguyen, C., Nguyen, H. D., Demmel, J., Kahan, W., Sen, K., Bailey, D. H., Iancu, C., and Hough, D. (2013). Precimonious. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis on - SC ’13, pages 1–12, New York, New York, USA. ACM Press.Salehi, M., Tavana, M. K., Rehman, S., Kriebel, F., Shafique, M., Ejlali, A., and Henkel, J. (2015). DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations. In 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pages 225–230. IEEE.Samadi, M., Lee, J., Jamshidi, D. A., Hormati, A., and Mahlke, S. (2013). SAGE: Self-tuning approximation for graphics engines. MICRO 2013 - Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, pages 13–24.Sampson, A. (2015). Hardware and Software for Approximate Computing. PhD thesis, University of Washington.Sampson, A., Dietl, W., Fortuna, E., Gnanapragasam, D., Ceze, L., and Grossman, D. (2011). EnerJ: approximate data types for safe and general low-power computation. In Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation - PLDI ’11, page 164, New York, New York, USA. ACM Press.Sampson, A., Nelson, J., Strauss, K., and Ceze, L. (2014). Approximate Storage in Solid-State Memories. ACM Transactions on Computer Systems, 32(3):1–23.Sampson, A., Ransford, B., and Ceze, L. (2015). ACCEPT: A Programmer-Guided Compiler Framework for Practical Approximate Computing. University of Washington Technical Report UW-CSE-15-01.Sanchez, A., Entrena, L., and Kastensmidt, F. (2018). Approximate TMR for selective error mitigation in FPGAs based on testability analysis. In 2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), pages 112–119. IEEE.Sanchez-Clemente, A. J., Entrena, L., and Garcia-Valderas, M. (2016). Partial TMR in FPGAs Using Approximate Logic Circuits. IEEE Transactions on Nuclear Science, 63(4):2233–2240.Shi, Q., Hoffmann, H., and Khan, O. (2015). A Cross-Layer Multicore Architecture to Tradeoff Program Accuracy and Resilience Overheads. IEEE Computer Architecture Letters, 14(2):85–89.Shivakumar, P., Kistler, M. D., Keckler, S. W., Burger, D. C., and Alvisi, L. (2002). Modeling the effect of technology trends on the soft error rate of combinational logic. Dependable Systems and Networks, 2002. DSN 2002. Proceedings. International Conference on, pages 389–398.Sidiroglou-Douskos, S., Misailovic, S., Hoffmann, H., and Rinard, M. (2011). Managing performance vs. accuracy trade-offs with loop perforation. In Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering - SIGSOFT/FSE ’11, page 124, New York, New York, USA. ACM Press.Stanley-Marbell, P., Alaghi, A., Carbin, M., Darulova, E., Dolecek, L., Gerstlauer, A., Gillani, G., Jevdjic, D., Moreau, T., Cacciotti, M., Daglis, A., Jerger, N. E., Falsafi, B., Misailovic, S., Sampson, A., and Zufferey, D. (2018). Exploiting errors for efficiency: A survey from circuits to algorithms.Taher, F. N., Callenes-Sloan, J., and Schafer, B. C. (2018). A Machine Learning based Hard Fault Recuperation Model for Approximate Hardware Accelerators. In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), pages 1–6. IEEE.Texas Instruments, I. (2023). Msp430 ultra-low-power mcus.Tiwari, V., Malik, S., and Wolfe, A. (1994). Power analysis of embedded software: a first step towards software power minimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2(4):437–445.Tofallis, C. (2015). A better measure of relative prediction accuracy for model selection and model estimation. Journal of the Operational Research Society, 66(8):1352–1362.Traiola, M., Echavarria, J., Bosio, A., Teich, J., and O’Connor, I. (2021). Design Space Exploration of Approximation-Based Quadruple Modular Redundancy Circuits. In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, volume 2021-November, pages 1–9. IEEE.Tsuchiya, T., Ootsu, K., Yokota, T., and Kojima, S. (2022). Assembly code translation from arm64 to risc-v. Proceedings - 2022 23rd ACIS International Summer Virtual Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD-Summer 2022, pages 68–73.Van Leussen, M., Huisken, J., Wang, L., Jiao, H., and Pineda De Gyvez, J. (2017). Reconfigurable Support Vector Machine Classifier with Approximate Computing. In 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pages 13–18. IEEE.Venkataramani, S., Chippa, V. K., Chakradhar, S. T., Roy, K., and Raghunathan, A. (2013). Quality programmable vector processors for approximate computing. In 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 1–12.Venkataramani, S., Sabne, A., Kozhikkottu, V., Roy, K., and Raghunathan, A. (2012). SALSA: Systematic logic synthesis of approximate circuits. In Proceedings of the 49th Annual Design Automation Conference on - DAC ’12, page 796, New York, New York, USA. ACM Press.Vera, X., Abella, J., Carretero, J., and González, A. (2009). Selective replication. ACM Transactions on Computer Systems, 27(4):1–30.Wang, Y., Dong, J., Xu, Q., and Qu, G. (2021). Ftapprox: A fault-tolerant approximate arithmetic computing data format. Proceedings -Design, Automation and Test in Europe, DATE, 2021-February:1548–1551.Xu, Q., Mytkowicz, T., and Kim, N. S. (2016). Approximate Computing: A Survey. IEEE Design and Test, 33(1):8–22.Yang, Z., Jain, A., Liang, J., Han, J., and Lombardi, F. (2013). Approximate XOR/XNOR-based adders for inexact computing. In 2013 13th IEEE International Conference on Nanotechnology (IEEE-NANO 2013), pages 690–693. IEEE.Yanmei Li, Dongmei Li, and Zhihua Wang (2000). A new approach to detect-mitigate-correct radiation-induced faults for SRAM-based FPGAs in aerospace application. In Proceedings of the IEEE 2000 National Aerospace and Electronics Conference. NAECON 2000. Engineering Tomorrow (Cat. No.00CH37093), volume 1, pages 588–594. IEEE.Yazdanbakhsh, A., Mahajan, D., Esmaeilzadeh, H., and Lotfi-Kamran, P. (2017). AxBench: A Multiplatform Benchmark Suite for Approximate Computing. IEEE Design & Test, 34(2):60–68.Yen-Kuang Chen, Chhugani, J., Dubey, P., Hughes, C., Daehyun Kim, Kumar, S., Lee, V., Nguyen, A., and Smelyanskiy, M. (2008). Convergence of Recognition, Mining, and Synthesis Workloads and Its Implications. Proceedings of the IEEE, 96(5):790–807.Zhang, Q., Wang, T., Tian, Y., Yuan, F., and Xu, Q. (2015). ApproxANN: An Approximate Computing Framework for Artificial Neural Network. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, pages 701–706, New Jersey. IEEE Conference Publications.EstudiantesInvestigadoresLICENSElicense.txtlicense.txttext/plain; charset=utf-85879https://repositorio.unal.edu.co/bitstream/unal/85008/1/license.txteb34b1cf90b7e1103fc9dfd26be24b4aMD51ORIGINAL80017086.2023.pdf80017086.2023.pdfTesis de Doctorado en Ingeniería - Sistemas y Computaciónapplication/pdf1818647https://repositorio.unal.edu.co/bitstream/unal/85008/2/80017086.2023.pdf72ab82f816e7846874f62364c024a965MD52THUMBNAIL80017086.2023.pdf.jpg80017086.2023.pdf.jpgGenerated Thumbnailimage/jpeg4771https://repositorio.unal.edu.co/bitstream/unal/85008/3/80017086.2023.pdf.jpg5ee448a9f61900ccf3a65767bacb78daMD53unal/85008oai:repositorio.unal.edu.co:unal/850082024-08-19 23:11:27.523Repositorio Institucional Universidad Nacional de Colombiarepositorio_nal@unal.edu.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